Nova Ltd. (NASDAQ:NVMI)

WEB NEWS

Wednesday, August 2, 2017

Comments & Business Outlook

NVMI ($23.75, marked up to $24.34 pre-market) - Reported second quarter results this morning:

  • Record quarterly revenue of $56.1 million, up 58% year-over-year, above the high end of guidance of $52 million to $56 million

  • GAAP net income of $13.3 million, or $0.47 per diluted share, up 327% year-over-year on a per-share basis, exceeding guidance of $0.32 to $0.39 per share

  • Non-GAAP net income of $15.2 million, or $0.53 per diluted share, up 212% year-over-year on a per-share basis, exceeding guidance of $0.38 to $0.46 per share

  • Diversified customer mix, including five 10%-plus customers

  • Record quarterly revenue from Integrated Metrology solutions, including record Integrated Metrology revenue from Memory customers  

You can read the company’s comprehensive results in this morning’s press release.


Thursday, January 26, 2017

Comments & Business Outlook

REHOVOT, Israel, Jan. 26, 2017 /PRNewswire/ -- Nova Measuring Instruments (Nasdaq: NVMI), a leading innovator and a key provider of metrology solutions for advanced process control used in semiconductor manufacturing, today announced that due to additional customer orders received and delivered during the fourth quarter of 2016, management expects fourth quarter revenue to be approximately $50 million, exceeding previously announced guidance of $42 million to $46 million. With these record quarterly revenue results, the company expect to post record yearly revenue of approximately $163 million.


Monday, January 9, 2017

Research

NVMI ($14.15) received over $10 million in orders from multiple foundry customers.  The company expects the orders to support expectations for the 4th consecutive record revenue year and expand growth momentum into 2017.  Some quotes from the release:

"The growing demand for our XPS solutions is evident by these multiple orders, which increase our confidence in the attractiveness of our unique portfolio, combining materials and thickness measurements for the most advanced wafer fabrications steps," commented Eitan Oppenhaim, President and Chief Executive Officer of Nova. "Our solid and established OCD position in the Foundry segment supported the recent XPS penetration efforts in multiple leading customers, which materialized with these new orders. We expect additional cross-selling opportunities across all of our product lines as we move forward in 2017, validating our strong strategic position in the marketplace."  

With these final orders in 2016 we expect the fourth quarter to be a very strong conclusion to another record year, reflecting the demand we experience for our entire portfolio of solutions, including XPS and OCD," continued Mr. Oppenhaim. "Based on the recent booking stream in the fourth quarter, we enter 2017 with growing momentum and increased confidence in our continued growth."


Monday, December 5, 2016

Contract Awards

NVMI ($13.11) announced it has received multiple orders from leading 3D-NAND manufacturers.  Recent wins are expected to contribute revenues of more than $20 million in 2017. 


Tuesday, April 8, 2014

Contract Awards

REHOVOT, Israel, April 8, 2014 /PRNewswire/ -- Nova Measuring Instruments Ltd. (NASDAQ: NVMI), a leading innovator and a key provider of optical metrology solutions for advanced process control used in semiconductor manufacturing, announced today that multiple leading edge customers have placed multimillion orders for its newly introduced software solutions. The orders include Nova's Hybrid Metrology and Fleet Management software solutions for high volume production at the most advanced 2X and 1X technology nodes.

Nova's Hybrid Metrology solution is part of Nova's holistic approach to utilize multiple sources of information to enhance the overall metrology performance for better process control. The Hybrid Metrology solution combines data from a range of metrology tools with Nova's own metrology measurements to provide improved performance.

Nova's Fleet Management software is Nova's advanced solution for managing large fleets of metrology tools. It is designed to address the needs of metrology and process engineers in the fab, enabling high productivity and efficiency across a large fleet of metrology tools.

Both solutions were qualified following intensive technical evaluations during the last few months with leading customers and in multiple sites.

"We are very excited to receive these initial orders for our new advanced software solutions," said Eitan Oppenhaim, President and CEO of Nova. "These orders are clear evidence that our strategic decision to invest in these proprietary software solutions, which are based on our leading edge modeling engines, was the right one in order to enhance the metrology performance required in the most advanced technology nodes. We expect additional orders of our software solutions from these and other customers throughout the year. Our leadership in the Hybrid Metrology, which we have demonstrated in concept over the past several years in multiple publications and technical papers, can now been seen in our leading edge customers' sites, with active deployments underway to support their complex challenges and high volume production efforts." 


Thursday, January 9, 2014

Contract Awards

REHOVOT, Israel, January 9, 2014 /PRNewswire/ --

Nova Measuring Instruments Ltd. (NASDAQ: NVMI) provider of leading edge stand-alone metrology and the market leader of integrated metrology solutions to the semiconductor process control market, announced today that several foundries have recently placed over $20 million in orders in aggregate for Nova's metrology solutions. The orders are for the most advanced 2Xnm and 1Xnm technology nodes in multiple process steps.

The orders include Nova's most advanced fleet of integrated and stand-alone metrology products, the latest generation of NovaMARS® modeling software and Nova's Fleet Management software solution. These solutions will support multiple process steps including both CMP and Etch. Nova expects to ship these systems over the first half of 2014.

"We are very proud with the vote of confidence we continuously receive from the market leading Foundries for our metrology solutions", said Eitan Oppenhaim, Nova's President & CEO. "These recent selections validate our strategy of increased R&D spending on advanced optical solutions in order to increase market share in this high growth market, and solidify our position as a leader in Optical CD metrology for process control. Today, we are growing our placement in the foundries beyond the CMP process into multiple steps including Etch, for both front-end and back-end applications, and in the future, we expect these wins to generate significant business opportunities for us. With these new orders and our recent market share gains in multiple front and back-end applications, we are clearly demonstrating our strength in the process control segment, which positions us at a good starting point towards 2014."

Oppenhaim continued, "In addition, we are pleased to announce that we expect our fourth quarter of 2013 results to fall in the higher range of our guidance initially issued on October 30, 2013 with our third quarter of 2013 results. We will provide additional details on our formal reporting in February 2014."

As a reminder, Eitan Oppenhaim, President and CEO, will present at the 16th Annual Needham Growth Conference at The New York Palace Hotel, New York, NY. Mr. Oppenhaim's presentation will be at 12:45p Eastern Time on Wednesday, January 15, 2014. A live webcast of Nova's presentation will be available to the public and can be accessed at http://wsw.com/webcast/needham64/NVMI.


Thursday, May 6, 2010

Comments & Business Outlook

"During the first quarter, we reached record quarterly shipment levels and again achieved solid financial performance, through our strong position in new industry expansion projects and through our ability to provide additional functionality and value to our existing products", commented Gabi Seligsohn, President and CEO of Nova. "In addition, our service business regained momentum, and presented 19% increase in revenues and improved gross margins".

"Semiconductor business fundamentals continue to be strong at the high end of technology and our leading edge customers have indicated that demand is expected to continue to be robust throughout the year. Given the pace of technology transition, several customers are actively evaluating our new stand alone products, and we believe these evaluations lay the foundation for additional business growth in the future and for further improvement of our competitive position in our core markets".

"The memory side of the business is performing better than it did three months ago. In addition, we currently have better visibility on new fab build-outs and expansions, and we believe we are very well positioned to address these opportunities. Based on these developments, we think it is appropriate to raise our guidance for the year".

The company's revised revenue guidance for 2010 is $61-$66 million. Based on this revenue guidance, the company is also guiding to net profitability of 13%-17% for 2010.


Wednesday, December 30, 2009

Research

The GeoTeam will track the Nova Measuring Instruments story and cod it as a GeoSpecial on the Radar due to the following commentary:

REHOVOT, Israel, December 21 /PRNewswire-FirstCall/ -- Nova Measuring Instruments Ltd. (Nasdaq: NVMI), provider of leading edge stand alone metrology and the market leader of integrated metrology solutions to the semiconductor process control market, today announced that it has recently received $10 million of new bookings, which together with previously announced orders in the quarter, are expected to set an all time record of quarterly bookings for the company. The orders during the quarter were received from several customers for stand-alone optical CD, integrated metrology and software products. Most of these orders are scheduled for delivery in the first quarter of 2010.

"Our recent market share gains and penetration to new customers, combined with the swift recovery in industry spending patterns, are expected to result in a very strong finish for the year, in terms of revenues, profitability and year-end backlog," commented Gabi Seligsohn, President & CEO of Nova. "In light of the improving economic conditions and key customer decisions to significantly increase tool orders to support capacity build up, we believe that 2010 will be a year of significant growth for the company."

Source: PrNewswire (December 21, 2009)



Market Data powered by QuoteMedia. Terms of Use